research papers\(\def\hfill{\hskip 5em}\def\hfil{\hskip 3em}\def\eqno#1{\hfil {#1}}\)

Journal logoJOURNAL OF
SYNCHROTRON
RADIATION
ISSN: 1600-5775

Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm

aLaboratory of Advanced Science and Technology for Industry, Himeji Institute of Technology, 2167 Shosha, Himeji, Hyogo 671-22, Japan
*Correspondence e-mail: kinosita@lasti.himeji-tech.ac.jp

(Received 4 August 1997; accepted 21 November 1997)

Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 µm and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 µm pattern has been demonstrated in a 25 µm square area. With a two-aspherical-mirror system, a 0.15 µm pattern has been replicated in a ring slit area of 20 mm × 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 µm pattern in an area of 10 mm × 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 µm. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

1. Introduction

Since 1970, the density of LSIs has been increasing by four times every three years. If progress continues at the same pace, 16 Gbit DRAMs will be fabricated on production lines in 2007. The lithography trend shown in Fig. 1[link] is accompanied by a trend towards shorter exposure wavelengths, for example, from g-line to i-line to KrF lithography. KrF lithography is now available for 0.18 µm pattern replication. For 0.13 µm, several candidates are being investigated, such as ArF, X-ray proximity, electron beam projection and extreme ultraviolet lithography (EUVL).

[Figure 1]
Figure 1
Lithography trend.

ArF lithography is progressing rapidly, though new materials for optics, such as fluorite, and new resist materials have to be developed. It is certain that an ArF exposure system will be ready in time; this technology, however, cannot be extended to 0.1 µm patterns, which means that it will be applicable to only one generation.

X-ray proximity lithography is also a promising candidate for 0.13 µm patterns, and has the advantage of being extendable down to 0.1 µm. IBM in the USA and the Mitsubishi Electrical Company plan to introduce X-ray proximity lithography to production lines by 2001. However, the replication of 0.1 µm patterns requires a mask accuracy of less than 10 nm. Therefore, both the registration and positioning accuracy will have to be 3 nm if the masks are made by electron-beam direct writing. It will probably be very difficult to achieve such precise alignment accuracy within the next few years.

EUVL is a promising technology for feature sizes of 0.1 µm and below. EUVL is an extension of optical lithography. A mirror with a multilayer coating, which has high reflectivity for soft X-rays, is employed instead of a refractive optical system. In principle, reflective optics produce no chromatic aberration; therefore we should be able to print fine patterns with feature sizes of less than 0.01 µm by shortening the wavelength and extending the numerical aperture (NA). Two major advantages of this system are the use of a reticle mask with features that are four or five times larger than those printed in the resist, and the use of a bulk substrate for the mask, which eliminates the problem of distortion of the mask by the heat generated during synchrotron radiation irradiation.

The next generation of lithography has to (i) satisfy road-map requirements for minimum feature size (MFS), critical dimension (CD) control, overlay accuracy, and defect density; (ii) be a multi-generation technology that advances through evolutionary improvements; and (iii) satisfy industrial needs regarding cost of ownership (COO). This paper describes the prospects and present status of EUVL.

2. Prospects of EUVL as a multi-generation technology

Fig. 2[link] shows the prospects of EUVL. The resolution and depth of focus can be described in terms of Fraunhofer and Rayleigh criteria. We have already designed and fabricated optical systems with a numerical aperture of 0.1 for a wavelength of 13 nm. The diffraction-limited resolution is estimated to be 0.065 µm. Extending the numerical aperture to 0.2 will yield a resolution of 33 nm and a depth of focus of 0.3 µm. In the future, if multilayer mirrors suitable for a wavelength of 5 nm become available, the resolution will be 12 nm for an NA of 0.2.

[Figure 2]
Figure 2
Diffraction-limited resolution versus exposure wavelengths and numerical apertures.

Since the EUVL system is an optical system, optical enhancement techniques such as modified illumination and the phase shifting of reticles can be employed. Two types of alternating phase shift mask (PSM) have been designed, and image simulations for PSMs and conventional masks for a wavelength of 13 nm have been performed (Ito et al., 1996[Ito, M., Katagiri, S., Yamanashi, H., Seya, E., Ogawa, T., Oizumi, H. & Terasawa, T. (1996). OSA Trends Opt. Photonics, 4, 9-12.]).

Figs. 3[link](a) and 3[link](b) show schematic diagrams of alternating PSMs, and Fig. 3[link](c) shows an aerial image of a 0.05 µm line-and-space pattern. While the image contrast with a conventional mask is almost zero, an image contrast of 75% is obtainable with an alternating PSM.

[Figure 3]
Figure 3
(a), (b) Schematics of alternating PSMs. (c) Aerial image of 0.05 µm line-and-space patterns obtained with an alternating PSM and a conventional mask.

Table 1[link] summarizes the improvement in resolution provided by a phase shift mask based on these calculations. For an NA of 0.2 and a wavelength of 5 nm, a resolution of 7.5 nm is expected. As mentioned above, EUVL is a multi-generation technology for pattern sizes from 0.1 µm down to 0.01 µm.

Table 1
Prospects of EUV lithography for three different wavelengths and four different NAs

Units: nm.

  13 nm 8 nm 5 nm
NA Conventional PSM Conventional PSM Conventional PSM
0.08 81 49 50 30 31 18
0.1 65 40 40 24 25 15
0.15 43 26 26 15 17 10
0.2 32 20 20 12 12 7.5

3. Status of EUVL

Fig. 4[link] gives an overview of EUVL research in the world. R&D in EUVL was started in 1984 at NTT. In 1986, a two-dimensional pattern with a line width of 2 µm was replicated (Kinoshita et al., 1986[Kinoshita, H., Kaneko, T., Takei, H., Takeuchi, N. & Ishii, N. (1986). Extended Abstracts. The 47th Autumn Meeting (1986); The Japan Society of Applied Physics, p. 322. (In Japanese.)]) by using Schwarzschild optics consisting of two multilayer-coated mirrors yielding a demagnification of 1/8 at a wavelength of 12.5 nm. In 1989, using the same optics, a 0.5 µm pattern was replicated. NTT demonstrated the feasibility of EUVL employing multilayer-coated mirrors for future generations of lithography (Kinoshita et al., 1989[Kinoshita, H., Kurihara, K., Ishii, Y. & Torii, Y. (1989). J. Vac. Sci. Technol. B7, 1648-1651.]). Then, in 1990, AT&T demonstrated 0.05 µm pattern replication with Schwarzschild optics and a demagnification of 1/20 at a wavelength of 14 nm (Jewell et al., 1990[Jewell, T. E., Becker, M. M., Bjorkholm, J. E., Boker, J., Eichner, L., Freeman, R. R., Mansfield, W. M., Macdowell, A. A., O'Malley, M. L., Raab, E. L., Silftvast, W. T., Szeto, L. H., Tennant, D. M., Waskieewicz, W. K., White, D. L., Windt, D. L., Wood, O. R. II & Bruning, J. H. (1990). Proc. SPIE, 1263, 90-98.]).

[Figure 4]
Figure 4
Overview of EUVL research in the world.

These encouraging results have stimulated R&D into EUVL in both Japan and the USA. In Japan, Nikon (Nagata et al., 1993[Nagata, H., Ohtani, M., Murakami, K. & Oshino, T. (1993). OSA Proc. Soft X-ray Projection Lithogr. 18, 83-86.]) and Hitachi (Ito, Oizumi et al., 1995[Ito, M., Oizumi, H., Soga, T., Yamanashi, H., Ogawa, T., Katagiri, S., Seya, E. & Takeda, E. (1995). Microelec. Eng. 27, 285-290.]) have demonstrated 0.05 µm pattern replication. Since their optical systems employ spherical mirrors, which are easy to fabricate to a high precision, a resolution of 0.05 µm, which is close to the diffraction limit, was achieved over a small field. Practical application, however, requires a large field on a wafer. Thus, optical systems that provide both high resolution and a large field are now being investigated.

The NTT group demonstrated large-field exposure in an area of 20 mm × 0.4 mm using a two-aspherical mirror system, and they replicated a pattern 0.15 µm in size using a wavelength of 13 nm. Subsequently, they achieved a field size of 10 mm × 12.5 mm utilizing illumination optics and synchronized mask and wafer stages (Haga & Kinoshita, 1995[Haga, T. & Kinoshita, H. (1995). J. Vac. Sci. Technol. B13(6), 2914-2918.]).

In the USA, a collaborative EUVL project that includes LLNL, SNL and AT&T was launched in 1993. This project is investigating all the key technologies for EUVL except alignment. The group have already developed an exposure tool and succeeded in fabricating an NMOS device with a gate length of 0.1 µm (Nguyen et al., 1996[Nguyen, K. B., Cardinale, G. F., Tichner, D. A., Berger, K., Ray-Chaudhuri, A. K., Perras, Y., Haney, S. J., Nissen, R., Krenz, K., Stulen, R. H., Tennant, D. M., Fetter, L. A., Timp, G., Mansfield, W., Lee, K. F., Fujioka, H. & Hu, C. (1996). OSA Trends Opt. Photonics, 4, 208-211.]). Encouraged by the results of the first phase of the project, they moved into the second phase in 1996, the goal of which is to build an exposure system with a practical exposure area by 1999. Their plans call for the development of an exposure system for a pilot line for 0.1 µm devices by the year 2004.

3.1. Source

Two types of EUVL sources are currently under consideration: synchrotron radiation source and laser plasma source (LPS). LPS equipment has a smaller footprint than that for synchrotron radiation, and an exposure system consists of one stepper and one LPS. Therefore, an EUVL system based on an LPS would be very similar to equipment now being used on semiconductor production lines. The power requirements for an LPS for practical application are 2–3 W within a bandwidth of 3% at a wavelength of 13 nm and for a solid angle of 1 sr. This requires a laser excitation of 1 J pulse−1 and a repetition rate of more than 1 kHz.

An important technical issue is mirror damage. Au, Sn, Ta and W are employed as targets for generating 14 nm wavelength radiation upon laser excitation. Kubiak et al. (1994[Kubiak, G. D., Krenz, K. D. & Berger, K. W. (1994). OSA Proc. EUVL, 23, 248-254.]) recently reported that Sn and Au generate large amounts of debris, and that Ta and W are much better. Conversion efficiencies are typically only 1%, and, in order to increase the lifetime of the mirrors to 1010 shots, methods of reducing the amount of debris and removing it from the plasma particles have to be developed. Several techniques for reducing the amount of debris are being investigated. They employ, for example, light-mass targets in a helium environment, and a chopper with a slit that rotates at high speed. In addition, the use of a solidified Xe target instead of solid metal has been studied (Kubiak et al., 1994[Kubiak, G. D., Krenz, K. D. & Berger, K. W. (1994). OSA Proc. EUVL, 23, 248-254.]). Although the conversion efficiency for radiation with a wavelength of 14 nm is 0.8%, debris from the Xe still damages the multilayer mirrors. One way to prevent damage is to use gas jet targets. Xe gas is ejected from a nozzle in the form of an ultrasonic pulse into a vacuum, and clusters for the target are generated through adiabatic expansion. Although the conversion efficiency is a low 0.6%, there is no debris at all (Kubiak et al., 1996[Kubiak, G. D., Connell, D. O. & Krenz, K. D. (1996). OSA Trends Opt. Photonics, 4, 66-71.]).

3.2. Optics

The optics for EUVL consist of illumination optics and imaging optics. In the X-ray range, where the refractive indices of materials are almost unity, only reflective optics can be employed. The imaging optics for large exposure areas typically consist of two to four aspherical mirrors. A two-aspherical-mirror system is shown in Fig. 5[link](a). The NA is 0.1, and the resolution limit is 0.065 µm (Kinoshita, 1992[Kinoshita, H. (1992). SPIE, 1742, 576-584.]). The depth of focus for a 0.1 µm pattern is 1.6 µm. Because these optics were fabricated with the minimum number of mirrors, the width of the ring field had to be made quite small to obtain a small distortion when the mask and wafer were scanned.

[Figure 5]
Figure 5
Imaging optics for EUVL. (a) Two-aspherical-mirror optics designed by NTT. (b) Three-aspherical-mirror optics designed by HIT. M: mask; W: wafer; m1, m2, m3: aspherical mirrors; PM: plane mirror.

Three-aspherical-mirror optics, shown in Fig. 5[link](b), have been proposed as a way of reducing distortion. This system consists of three aspherical mirrors and one planar mirror. With this system, a resolution limit of 0.065 µm and a ring field with a width greater than 1 mm and a chord length of 26 mm can be achieved on a wafer (Watanabe et al., 1997[Watanabe, T., Kinoshita, H. & Niibe, M. (1997). JSPE Proceedings of 2nd US-Japan Workshop on Soft X-ray Optics. JSPE, Tokyo, Japan, pp. 341-348.]).

For illumination optics using a synchrotron light source, it is difficult to achieve good uniformity and a high numerical aperture on a mask because the emittance of a synchrotron radiation light source is small and the divergence in the horizontal direction is typically ten times larger than that in the vertical direction. The illumination optics designed by NTT are shown in Fig. 6[link] (Haga & Kinoshita, 1995[Haga, T. & Kinoshita, H. (1995). J. Vac. Sci. Technol. B13(6), 2914-2918.]). These optics require a numerical aperture larger than 0.02 on the mask. A concave toroidal mirror, M1, condenses the synchrotron radiation beam, which has a horizontal divergence of 40 mrad and vertical divergence of 4 mrad, and a convex toroidal mirror produces an almost parallel beam. Horizontal and vertical divergences of 40 mrad on a wafer can be achieved by using the cylindrical mirror, M3, and the concave toroidal mirror, M4. The beam size on a mask is 2.4 mm × 0.24 mm. Thus, a ring field 100 mm × 2.4 mm in size can be covered by rotating mirror M3. By synchronizing the scanning of the mask stage with the movement of the wafer stage in the vertical direction, the area of the ring field can be expanded to, for instance, 100 mm × 125 mm.

[Figure 6]
Figure 6
Illumination optics designed by NTT.

The fabrication of the aspherical mirrors for the imaging system requires a high precision. In general, the figure accuracy (σ) of one mirror can be described by the Rayleigh, (1)[link], and Marechal, (2)[link], equations. For example,

[\sigma=\lambda/4n, \quad\quad{\rm (P\!-\!V)}\eqno(1)]

[\sigma=\lambda/28n^{1/2}, \quad\quad{\rm (r.m.s.)}\eqno(2)]

where λ is the wavelength and n is the number of mirrors in the imaging system. For example, the figure error for each mirror of three-aspherical-mirror imaging optics requires a P–V of less than 1 nm and an r.m.s. of 0.28 nm. These tolerances are usually too strict for fabrication. It is, therefore, desirable to develop methods of specifying reasonable tolerances for figure errors.

One approach is optimization by ray tracing. For such calculations, we use a two-dimensional array consisting of 0.5 µm line-and-space patterns on a reflection mask, and assume that light comes from the spaces in this grid pattern and enters the pupil of the system. The size of each space is then 0.5 µm × 0.5 µm.

Fig. 7[link] shows some results for the figure errors required for three-aspherical-mirror optics (Kinoshita et al., 1997[Kinoshita, H., Watanabe, T., Koike, M. & Namioka, T. (1997). Proc. SPIE, 3152, 211-220.]). Fig. 7[link](a) shows the case where the value for M1 is 0.42 nm, that for M2 is 0.45 nm, and that for M3 is 0.6 nm. Note that a 0.1 µm pattern can be clearly resolved. Assuming values of 0.8 nm for M1, 0.65 nm for M2 and 0.9 nm for M3, the best resolution is 0.1 µm. These values are two to three times larger than the values obtained from the Marechal equation.

[Figure 7]
Figure 7
Simulation results for the required surface figure error. (a) Figure error of M1: 0.42 nm; M2, 0.45 nm; M3: 0.6 nm. (b) M1: 0.8 nm; M2: 0.65 nm; M3: 0.9 nm.

3.3. Reflection mask

Reflection masks can be classified into two types: subtractive and additive. The pattern on a subtractive-type mask is fabricated by etching it into a multilayer on a substrate. However, an additive-type mask is fabricated by etching the absorber layer deposited on a multilayer. It is generally assumed that an additive mask is better suited to the repair of defects.

The process flow for fabricating an additive-type mask is shown in Fig. 8[link] (Ito, Soga et al., 1995[Ito, M., Oizumi, H., Soga, T., Yamanashi, H., Ogawa, T., Katagiri, S., Seya, E. & Takeda, E. (1995). Microelec. Eng. 27, 285-290.]). If the minimum feature size on a wafer is 0.1 µm and the demagnification of the optics is 1/5, the minimum pattern size on a mask is 0.5 µm. Even if the thickness of the absorber is 0.1 µm, the aspect ratio of the absorber pattern only needs to be 0.2. Thus, it should be fairly easy to make the absorber pattern by dry etching. W and Ta are generally employed as absorber materials. Two important issues that remain to be resolved are the repair of defects and the fabrication of defect-free multilayers.

[Figure 8]
Figure 8
Process flow of an additive-type mask. (a) Deposit layer, (b) define resist, (c) etch layer, (d) strip resist.

3.4. Multilayer coating

A high reflectivity of more than 60% has been obtained for multilayers at a wavelength of 13 nm. Mo/Be multilayers for a wavelength of 11.4 nm and Rh/B4C multilayers for 7 nm have yielded reflectivities of 68% and 20%, respectively (Yamamoto, 1995[Yamamoto, M. (1995). New Glass, 10(2) 19-26. (In Japanese).]). The reflectivity of Mo/Si multilayers on a silicon substrate with a diameter of 100 mm has been measured to be 65 ± 0.37% with fluctuations having a period of 0.03 nm.

The remaining technical problems are the achievement of a high reflectivity at short wavelengths, such as 5 nm, and how to produce a multilayer without defects. Theoretically, it is possible to make a multilayer with a reflectivity of 40% at a wavelength of 5 nm, but only 3% has so far been achieved because of interlayer roughness.

3.5. Resist

The resist thickness required for device processing is usually three times larger than the pattern size, i.e. the resist has to be 0.3 µm thick for a pattern size of 0.1 µm. The absorption coefficient of materials at a wavelength of 13 nm is large, and the depth to which radiation penetrates the resist is only 0.2 µm. Consequently, surface imaging resists are needed.

A variety of ways are being investigated to process this type of resist, such as silation, grafting, surface reactions, thin-layer hard masks, and so on. Even so, it is important to develop additional resists that provide good CD control, high sensitivity, stability during dry etching, few defects and low cost.

The edge roughness must be less than 0.01 µm for pattern sizes below 0.1 µm, and the CD control must be 10%. Although the edge roughness for PMMA has been reported to be 0.01 µm (Scheckler et al., 1993[Scheckler, E. G., Ogawa, T., Tanaka, T., Oizumi, H. & Takeda, E. (1993). Jpn. J. Appl. Phys. 32, 5951-5959.]), more advanced resist materials have to be developed.

Regarding chemically amplified resists, it has been reported that a 0.25 µm-thick layer of SAL 601 has a high sensitivity of 2.5 mJ cm−2 at a wavelength of 13 nm (Kubiak et al., 1993[Kubiak, G. D., Hwang, R. Q., Schulberg, M. T., Tichner, D. A. & Early, K. (1993). Appl. Opt. 32(32), 7036-7043.]).

4. Conclusions

Advanced exposure methods employing multilayer mirrors have been proposed for X-ray optical systems. Fabrication of both the mirrors and the multilayer coatings requires nanometer-level precision. Measurement technologies for figure error and surface roughness as well as fabrication technologies are currently being investigated. Mirrors are now close to satisfying the specifications for EUVL. EUVL is, therefore, a strong contender for the tool to be used for the fabrication of devices with a pattern size of 0.1 µm, such as 16 Gbit DRAMs. The extension of EUVL to a pattern size of 0.01 µm requires the development of both fabrication and measurement technologies that provide an accuracy of less than 1 nm. Furthermore, EUVL will also benefit more advanced ultralarge-scale integrated devices, for example, by enabling very high clock speeds in MPUs.

References

First citationHaga, T. & Kinoshita, H. (1995). J. Vac. Sci. Technol. B13(6), 2914–2918.  CrossRef Web of Science
First citationIto, M., Katagiri, S., Yamanashi, H., Seya, E., Ogawa, T., Oizumi, H. & Terasawa, T. (1996). OSA Trends Opt. Photonics, 4, 9–12.
First citationIto, M., Oizumi, H., Soga, T., Yamanashi, H., Ogawa, T., Katagiri, S., Seya, E. & Takeda, E. (1995). Microelec. Eng. 27, 285–290.  CrossRef CAS Web of Science
First citationIto, M., Soga, T., Yamanashi, H. & Ogawa, T. (1995). SPIE, 2512, 125–129.  CAS
First citationJewell, T. E., Becker, M. M., Bjorkholm, J. E., Boker, J., Eichner, L., Freeman, R. R., Mansfield, W. M., Macdowell, A. A., O'Malley, M. L., Raab, E. L., Silftvast, W. T., Szeto, L. H., Tennant, D. M., Waskieewicz, W. K., White, D. L., Windt, D. L., Wood, O. R. II & Bruning, J. H. (1990). Proc. SPIE, 1263, 90–98.  CrossRef CAS
First citationKinoshita, H. (1992). SPIE, 1742, 576–584.
First citationKinoshita, H., Kaneko, T., Takei, H., Takeuchi, N. & Ishii, N. (1986). Extended Abstracts. The 47th Autumn Meeting (1986); The Japan Society of Applied Physics, p. 322. (In Japanese.)
First citationKinoshita, H., Kurihara, K., Ishii, Y. & Torii, Y. (1989). J. Vac. Sci. Technol. B7, 1648–1651.  CrossRef Web of Science
First citationKinoshita, H., Watanabe, T., Koike, M. & Namioka, T. (1997). Proc. SPIE, 3152, 211–220.  CrossRef
First citationKubiak, G. D., Connell, D. O. & Krenz, K. D. (1996). OSA Trends Opt. Photonics, 4, 66–71.
First citationKubiak, G. D., Hwang, R. Q., Schulberg, M. T., Tichner, D. A. & Early, K. (1993). Appl. Opt. 32(32), 7036–7043.  CrossRef
First citationKubiak, G. D., Krenz, K. D. & Berger, K. W. (1994). OSA Proc. EUVL, 23, 248–254.
First citationNagata, H., Ohtani, M., Murakami, K. & Oshino, T. (1993). OSA Proc. Soft X-ray Projection Lithogr. 18, 83–86.
First citationNguyen, K. B., Cardinale, G. F., Tichner, D. A., Berger, K., Ray-Chaudhuri, A. K., Perras, Y., Haney, S. J., Nissen, R., Krenz, K., Stulen, R. H., Tennant, D. M., Fetter, L. A., Timp, G., Mansfield, W., Lee, K. F., Fujioka, H. & Hu, C. (1996). OSA Trends Opt. Photonics, 4, 208–211.
First citationScheckler, E. G., Ogawa, T., Tanaka, T., Oizumi, H. & Takeda, E. (1993). Jpn. J. Appl. Phys. 32, 5951–5959.  CrossRef CAS Web of Science
First citationWatanabe, T., Kinoshita, H. & Niibe, M. (1997). JSPE Proceedings of 2nd US–Japan Workshop on Soft X-ray Optics. JSPE, Tokyo, Japan, pp. 341–348.
First citationYamamoto, M. (1995). New Glass, 10(2) 19–26. (In Japanese).

© International Union of Crystallography. Prior permission is not required to reproduce short quotations, tables and figures from this article, provided the original authors and source are cited. For more information, click here.

Journal logoJOURNAL OF
SYNCHROTRON
RADIATION
ISSN: 1600-5775
Follow J. Synchrotron Rad.
Sign up for e-alerts
Follow J. Synchrotron Rad. on Twitter
Follow us on facebook
Sign up for RSS feeds